DOI QR코드

DOI QR Code

Voltage Optimization of Power Delivery Networks through Power Bump and TSV Placement in 3D ICs

  • Jang, Cheoljon (Department of Nanoscale Semiconductor Engineering, Hanyang University) ;
  • Chong, Jong-Wha (Department of Electronics Computer Engineering, Hanyang University)
  • Received : 2013.11.25
  • Accepted : 2014.02.03
  • Published : 2014.08.01

Abstract

To reduce interconnect delay and power consumption while improving chip performance, a three-dimensional integrated circuit (3D IC) has been developed with die-stacking and through-silicon via (TSV) techniques. The power supply problem is one of the essential challenges in 3D IC design because IR-drop caused by insufficient supply voltage in a 3D chip reduces the chip performance. In particular, power bumps and TSVs are placed to minimize IR-drop in a 3D power delivery network. In this paper, we propose a design methodology for 3D power delivery networks to minimize the number of power bumps and TSVs with optimum mesh structure and distribute voltage variation more uniformly by shifting the locations of power bumps and TSVs while satisfying IR-drop constraint. Simulation results show that our method can reduce the voltage variation by 29.7% on average while reducing the number of power bumps and TSVs by 76.2% and 15.4%, respectively.

Keywords

References

  1. G.E. Moore, "Cramming More Components onto Integrated Circuits," IEEE Solid-State Circuits Newslett., vol. 11, no. 5, Sept. 2006, pp. 33-35. https://doi.org/10.1109/N-SSC.2006.4785860
  2. M.C. Tsai, T.C. Wang, and T. Hwang, "Through-Silicon Via Planning in 3-D Floorplanning," IEEE Trans. Very Large Scale Integr. Syst., vol. 19, no. 8, Aug. 2011, pp. 1448-1457. https://doi.org/10.1109/TVLSI.2010.2050012
  3. A.-C. Hsieh and T. Hwang, "TSV Redundancy: Architecture and Design Issues in 3-D IC," IEEE Trans. Very Large Scale Integr. Syst., vol. 20, no. 4, Apr. 2012, pp. 711-722. https://doi.org/10.1109/TVLSI.2011.2107924
  4. J.W. Joyner et al., "A Three-Dimensional Stochastic Wire-Length Distribution for Variable Separation of Strata," Proc. IEEE Int. Interconnect Technol. Conf., June 5-7, 2000, pp. 126-128.
  5. J. Cong et al., "Thermal-Aware 3D IC Placement via Transformation," Asia South Pacific Des. Autom. Conf., Yokohama, Japan, Jan. 23-26, 2007, pp. 780-785.
  6. B.G. Ahn et al., "Effective Estimation Method of Routing Congestion at Floorplan Stage for 3D ICs," J. Semicond. Technol. Sci., vol. 11, no. 4, Dec. 2011, pp. 344-350. https://doi.org/10.5573/JSTS.2011.11.4.344
  7. G. Van der Plas et al., "Design Issues and Considerations for Low- Cost 3-D TSV IC Technology," IEEE J. Solid-State Circuits, vol. 46, no. 1, Jan. 2011, pp. 293-307. https://doi.org/10.1109/JSSC.2010.2074070
  8. M.B. Healy and S.K. Lim, "Power Delivery System Architecture for Many-Tier 3D Systems," Proc. Electron. Compon. Technol. Conf., Las Vegas, NV, USA, June 1-4, 2010, pp. 1682-1688.
  9. A.B. Kahng et al., "VLSI Physical Design: From Graph Partitioning to Timing Closure," Power and Ground Routing, New York: Springer Press, 2010, pp. 86-90.
  10. M.G. Jung and S.K. Lim, "A Study of IR-Drop Noise Issues in 3D ICs with Through-Silicon-Vias," IEEE Int. 3D Syst. Integr. Conf., Munich, Germany, Nov. 16-18, 2010, pp. 1-7.
  11. M.B. Healy and S.K. Lim, "A Study of Stacking Limit and Scaling in 3D ICs: An Interconnect Perspective," Electron. Compon. Technol. Conf., May 26-29, 2009, pp. 1213-1220.
  12. M.B. Healy and S.K. Lim, "A Novel TSV Topology for Many- Tier 3D Power-Delivery Networks," Des., Autom. Test Europe Conf. Exhibition, Grenoble, France, Mar. 14-18, 2011, pp. 1-4.
  13. B.K. Lee et al., "A Novel Methodology for Power Delivery Network Optimization in 3-D ICs Using Through-Silicon-Via Technology," IEEE Int. Symp. Circuits Syst., Seoul, Rep. of Korea, May 20-23, 2012, pp. 3262-3265.
  14. C.-J. Jang et al., "Power Bumps and Through-Silicon-Vias Placement with Optimized Power Mesh Structure for Power Delivery Network in Three-Dimensional-Integrated Circuits," IET Comput. Digit. Techn., vol. 7, no. 1, Jan. 2013, pp. 11-20. https://doi.org/10.1049/iet-cdt.2012.0047
  15. W. Ahmad et al., "Peak-to-Peak Ground Noise on a Power Distribution TSV Pair as a Function of Rise Time in 3-D Stack of Dies Interconnected Through TSVs," IEEE Trans. Compon., Packaging Manuf. Technol., vol. 1, no. 2, Feb. 2011, pp. 196-207. https://doi.org/10.1109/TCPMT.2010.2099732
  16. L.-C. Wang et al., "Static Compaction of Delay Tests Considering Power Supply Noise," Proc. IEEE VLSI Test Symp., May 1-5, 2005, pp. 235-240.
  17. J.A. Davis and J.D. Meindl, "Interconnect Technology and Design for Gigascale Integration," Modeling of on-Chip IR-Drop, Dordrecht, Netherlands: Kluwer Academic Publishers Press, 2003, pp. 189-197.
  18. X. Wu et al., "Area Minimization of Power Distribution Network Using Efficient Nonlinear Programming Techniques," IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 23, no. 7, July 2004, pp. 1086-1094. https://doi.org/10.1109/TCAD.2004.829809
  19. Y. Zhong and M.D.F. Wong, "Fast Algorithms for IR Drop Analysis in Large Power Grid," IEEE/ACM Int. Conf. Comput.- Aided Des., Nov. 6-10, 2005, pp. 351-357.
  20. Y. Zhong and M.D.F. Wong, "Fast Placement Optimization of Power Supply Pads," Asia South Pacific Des. Autom. Conf., Yokohama, Japan, Jan. 23-26, 2007, pp. 763-767.
  21. P.H. Madden et al., Standard Cell Benchmark Circuits, Binghamton Laboratory for Algorithms, Circuits, and Computer Aided Design. Accessed Nov. 24, 2013. http://vlsicad.cs. binghamton.edu/benchmarks.html
  22. P. Falkenstern et al., "Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis," Asia South Pacific Des. Autom. Conf., Taipei, Taiwan, Jan. 18-21, 2010, pp. 169-174.
  23. S.N. Adya and I.L. Markov, "Fixed-Outline Floorplanning: Enabling Hierarchical Design," IEEE Trans. Very Large Scale Integr. Syst., vol. 11, no. 6, Dec. 2003, pp. 1120-1135. https://doi.org/10.1109/TVLSI.2003.817546

Cited by

  1. Concurrent optimisation method for three-dimensional power delivery network design vol.10, pp.4, 2016, https://doi.org/10.1049/iet-cds.2015.0112