TP-Sim: 트레이스 기반의 프로세싱 인 메모리 시뮬레이터

TP-Sim: A Trace-driven Processing-in-Memory Simulator

  • 김정근 (경북대학교 컴퓨터학부)
  • Jeonggeun Kim (School of Computer Science and Engineering, Kyungpook National University)
  • 투고 : 2023.08.18
  • 심사 : 2023.09.19
  • 발행 : 2023.09.30

초록

This paper proposes a lightweight trace-driven Processing-In-Memory (PIM) simulator, TP-Sim. TP-Sim is a General Purpose PIM (GP-PIM) simulator that evaluates various PIM system performance-related metrics. Based on instruction and memory traces extracted from the Intel Pin tool, TP-Sim can replay trace files for multiple models of PIM architectures to compare its performance. To verify the availability of TP-Sim, we estimated three different system configurations on the STREAM benchmark. Compared to the traditional Host CPU-only systems with conventional memory hierarchy, simple GP-PIM architecture achieved better performance; even the Host CPU has the same number of in-order cores. For further study, we also extend TP-Sim as a part of a heterogeneous system simulator that contains CPU, GPGPU, and PIM as its primary and co-processors.

키워드

과제정보

This research was supported by National Research Foundation of Korea (NRF) Grant funded by the Korean Government (Ministry of Education) NRF-2021R1I1A1A01059737.

참고문헌

  1. Mason, Tony, et al. "Unexpected performance of Intel® OptaneTM DC persistent memory." IEEE Computer Architecture Letters 19.1 (2020): 55-58. https://doi.org/10.1109/LCA.2020.2987303
  2. Wuu, John, et al. "3D V-Cache: the Implementation of a Hybrid-Bonded 64MB Stacked Cache for a 7nm x86-64 CPU." 2022 IEEE International Solid-State Circuits Conference (ISSCC). Vol. 65. IEEE, 2022.
  3. Boroumand, Amirali, et al. "Google workloads for consumer devices: Mitigating data movement bottlenecks." Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems. 2018.
  4. Ke, Liu, et al. "Near-memory processing in action: Accelerating personalized recommendation with axdimm." IEEE Micro 42.1 (2021): 116-127. https://doi.org/10.1109/MM.2021.3097700
  5. He, Mingxuan, et al. "Newton: A DRAM-maker's accelerator-in-memory (AiM) architecture for machine learning." 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 2020.
  6. Pawlowski, J. Thomas. "Hybrid memory cube (HMC)." 2011 IEEE Hot chips 23 symposium (HCS). IEEE, 2011.
  7. Gomez-Luna, Juan, et al. "Benchmarking memorycentric computing systems: Analysis of real processingin-memory hardware." 2021 12th International Green and Sustainable Computing Conference (IGSC). IEEE, 2021.
  8. Yu, Chao, Sihang Liu, and Samira Khan. "Multipim: A detailed and configurable multi-stack processing-inmemory simulator." IEEE Computer Architecture Letters 20.1 (2021): 54-57. https://doi.org/10.1109/LCA.2021.3061905
  9. https://github.com/CMU-SAFARI/ramulator-pim
  10. Sanchez, Daniel, and Christos Kozyrakis. "ZSim: Fast and accurate microarchitectural simulation of thousandcore systems." ACM SIGARCH Computer architecture news 41.3 (2013): 475-486. https://doi.org/10.1145/2508148.2485963
  11. Luk, Chi-Keung, et al. "Pin: building customized program analysis tools with dynamic instrumentation." Acm sigplan notices 40.6 (2005): 190-200. https://doi.org/10.1145/1064978.1065034
  12. McCalpin, John D., 1995: "Memory Bandwidth and Machine Balance in Current High Performance Computers", IEEE Computer Society Technical Committee on Computer Architecture (TCCA) Newsletter, December 1995.
  13. Gao, Mingyu, Grant Ayers, and Christos Kozyrakis. "Practical near-data processing for in-memory analytics frameworks." 2015 International Conference on Parallel Architecture and Compilation (PACT). IEEE, 2015.
  14. Min, Chuhan, et al. "NeuralHMC: An efficient HMC-based accelerator for deep neural networks." Proceedings of the 24th Asia and South Pacific Design Automation Conference. 2019.